ハードウェアの気になるあれこれ

技術的に興味のあることを調べて書いてくブログ。主にハードウェアがネタ。

2018-08-01から1ヶ月間の記事一覧

Scalaの勉強 - 統合開発環境IntelliJ IDEAのインストール

前回の記事では、Scalaの環境を整えるためにsbtをインストールしてsbt consoleコマンドでsbt consoleが立ち上がることを確認した。 tech-diningyo.hatenablog.com 今回も引き続き、ドワンゴが公開してくれているScalaの研修資料を参考に統合開発環境をインス…

Scalaの勉強 - Scalaの環境構築

最終的に扱えるようになりたいのはChiselなのだけれども、Chiselを勉強しようにもそもそもベースになってるScalaも書けないのでまずはそこから一通り見ていく。 Scalaって?? Scala環境の構築 sbt Java環境の確認 sbtのインストール 動作確認 Scalaって??…

RISC-Vの実装の1つ - SCR1の解析 - riscv-toolsのビルド

前回SCR1のVivadoシミュレーション環境の起動が確認できて、HW側の準備は整った。 今回は実際のRISC-Vバイナリを使ってシミュレーションするために必要となるriscv-toolsのビルドを行っていく。

RISC-Vの実装の1つ - SCR1の解析 - Vivadoプロジェクト準備(3)

今回も引き続き、SCR1のVivadoシミュレーション環境のエラー解析を行っていく。 今回はVivadoシミュレーション本体がSIGSEGVでツールごと落ちる問題を見ていく。

RISC-Vの実装の1つ - SCR1の解析 - Vivadoプロジェクト準備(2)

前回Vivado上でSCR1コアのプロジェクトを立ち上げシミュレーションを実行したところ、エラーが発生した。 今回の記事ではそのエラー内容の解析を行っていく。

RISC-Vの実装の1つ - SCR1の解析 - Vivadoプロジェクト準備(1)

RISC-Vのサイトに紹介されているコアで、最初の学習に良さそうなコアを探したところsyntacoreコア社のSCR1というコアが扱いやすそうなので、このコアをシミュレーションできる環境をVIvadoシミュレータを使って立ち上げていく。

Vivadoシミュレータの動作確認

最近日本でも話題になっていきたRISC-Vを触ってみたくなったので、Chiselを勉強してみようと思いたった。 公開されているRISC-VコアをVivado上で動かして確認していくつもりなので、まずはVivadoのシミュレーション環境を立ち上げていく。