ハードウェアの気になるあれこれ

技術的に興味のあることを調べて書いてくブログ。主にハードウェアがネタ。

3.2

Chisel3.2.0で追加された非同期リセットについて(勝手に)勘違いしてた話

Chiselの3.3.0-RC1が公開されて調べたら、自分の把握できていない非同期リセットの振る舞いがあったので それをとめておこうと思う。 非同期リセットはモジュール間も伝搬する useAsyncReset=true useAsyncReset=true

Chisel3.2.0が出たので変更点を確認する(2)

前回の続きでChisel3.2.0の気になる機能を使ってみる、の第2回目。

Chisel3.2.0が出たので変更点を確認する(1)

Chiselのtwitterをフォローしている人はご存知と思いますが、先日ついにChiselの3.2.0のリリースが公式にアナウンスされました! ということで今回はRC1/RC2/3.2.0のリリースノートから気になる機能をピックアップして確認しておこうと思います。