ハードウェアの気になるあれこれ

技術的に興味のあることを調べて書いてくブログ。主にハードウェアがネタ。

ChiselのBlackBoxの使い方のまとめ

前回のChiselの記事ではChisel-Bootcampを進めてModule3.6のジェネリクス型を学んでいった。

www.tech-diningyo.info

今回はChiselのTips的な話で、ネタになるのは自分がChiselを使って実装をしていた際に出くわしたVerilog HDLで実装されたモジュールをブラックボックスとして接続する方法についてだ。

続きを読む

Chisel Bootcamp - Module3.6(4) - Scalaの型パラメータ(ジェネリクス型)のChiselへの応用について

前回のChisel-Bootcampの学習ではChiselの異なる方同士の接続の法則についてを見ていった。

www.tech-diningyo.info

今回も引き続きModule3.6を見ていく。今日はジェネリクスとChiselの型の階層について。

続きを読む

Chisel Bootcamp - Module3.6(1) - ScalaとChiselの型について

前回は久々のChisel-Bootcampの学習を勧めModule3.5の残りを見ていった。

www.tech-diningyo.info

今回はModule3.6に入りScalaとChiselの”型”についてを学習していく。

  • ジェネレータ:型について
    • モチベーション
    • 静的な"型"
    • ScalaとChiselの型
      • IntとUInt
      • BooleanとBool
    • Scalaの型強制
      • asInstanceOf
      • asTypeOf
    • 型を使ったマッチ
続きを読む

Chisel Bootcamp - Module3.5(3) - グレイコードのエンコーダとデコーダ

前回のChiselの記事ではFIRRTLを可視化するツールdiagrammerを試してみた内容をまとめた。

www.tech-diningyo.info

今回はしばらく進めていなかったChisel-Bootcampに戻り、Module3.5の残りを見ていく。 オブジェクト指向プログラミングをChiselに適用すると??という話だ。

続きを読む

FIRRTLの可視化ツールdiagrammerを試してみた

前回のChiselの記事ではiotestersを使って自分のデザインしたChiselのモジュールをテストする方法についてをまとめた

www.tech-diningyo.info

今回は少し前にChiselの公式ツイッターがツイートしていたdiagrammerというChiselから生成されるFIRRTLをグラフにして可視化するツールを試してみた内容を書いてみる。

  • diagrammerを試してみる
    • インストール
    • 依存ライブラリ
    • diagrammerの実行
    • sodorの1stage版のFIRRTLを変換した結果。
続きを読む