ハードウェアの気になるあれこれ

技術的に興味のあることを調べて書いてくブログ。主にハードウェアがネタ。

2020-01-01から1年間の記事一覧

2020年を振り返ってみる

2020年もおしまいなので、振り返りの意味もこめてサクッとまとめを。

Chisel3.4.0のリリースノートを確認した(5) - groupオブジェクト

Chisel3.4.0の変更点確認の5回目&最後(のはず)の記事。今回は#1073で追加された`group`オブジェクトについて。

Chisel3.4.0のリリースノートを確認した(4) - verificationオブジェクト

Chisel3.4.0の変更点確認の4回目。今回は#1499で追加された`verification`オブジェクトについて。

Chisel3.4.0のリリースノートを確認した(3) - Module.io / BlackBox.io がdeprecatedになった

Chisel3.4.0の変更点確認の3回目。今回は#1550の`io`がdeprecated指定に変更された件の影響を確認する。

Chisel3.4.0のリリースノートを確認した(2) - naming & prefix

Chisel3.4.0の変更点確認の2回目。今回からは、気になった機能を確認していく。 まず最初はChisel3.4.0の目玉機能である、コンパイラプラグインとPrefixingによる、命名規則の改善について。

Chisel3.4.0のリリースノートを確認した(1) - 概要編

Chisel3.3.0の確認をーーー、、、急がないと3.4.0がー!!ってやってたらChisel3.4.0がリリースされました。 Chisel3.3.0の変更点の確認は、気になったやつは前回で一応おわってて、後はリリースノート確認中に「こんな機能あったんか」案件だったため、ギリ…

Chisel3.3.0のリリースノートを確認した(6) - 簡単なやつを3つ

引き続きChisel3.3.0の変更点を。。Chisel3.4.0はリリース間近!!(ただいまRC3)。 今回はIssueのタイトル読めばほぼ理解できる、次の3つを簡単に紹介。 - (#1283) BitPatで空白文字が使えるようになった - (#1284) ScalaのDouble/BigDecimalからFixedPoin…

Chisel3.3.0のリリースノートを確認した(5) - NoChiselNamePrefix

引き続きChisel3.3.0の変更点を。。Chisel3.4.0はリリース間近!!(ただいまRC2)。 今回は#1383の@chiselNameの処理を非適用にする機能NoChiselNamePrefixについて。 #1383 @chiselNameの処理を非適用にするトレイトが追加された

Chisel3.3.0のリリースノートを確認した(4) - @chiselNameが強化された

あっという間に9月になりました。。 本題に入る前に少し宣伝的な事も書いてみます。 過ぎ去った8月末に、昨年同人誌で出版したChiselの本の商業誌版が出版されました。 内容的には同人誌版をベースに執筆時点で書ききれなかった内容+執筆時点からのアップ…

Chisel3.3.0のリリースノートを確認した(3) - SyncReadMemに追加された引数

転職でバタバタしてて、気づけば最後に更新してから早2ヶ月。。。 間が空いたけど、前回からの続きでChisel3.3.0の変更点を確認していく。 今回は#1183のSyncReadMemの件について #1183 SyncReadMemに同時アクセス時の挙動を指定するパラメータが追加された …

Chisel3.3.0のリリースノートを確認した(2) - ChiselStageを使ったエラボレート

今日は前回の続きでChisel3.3.0で変更/追加になった機能について確認していく。 今回は一番気になったRTLの生成処理の変更について。 #1213 Driverのいくつかのメソッドが非推奨になった Chisel3.stage.ChiselStage ChiselStage.executeを使ったRTLの生成 C…

Chisel3.3.0のリリースノートを確認した(1) - 概要編

5/4にChiselの3.3.0が正式にリリースされた。今回はリリースノートをざっと確認したのでその内容についてまとめておく。 なお今回の記事はリリースノートのひとくちメモという感じで、中身についてはそこまで深くは追求していない。 気になった機能について…

Chiselのswitchも普通にパラメタライズ出来た

Chiselのswitchについて少し勘違いしていたことがあったのでそのまとめ。

Chisel 3.3.0-RC1で追加された非同期リセットに関する機能を試してみる

Chiselの3.3.0-RC1が公開されたのだが、その中に1つ気になる記述があったので今回は それについて試した内容をまとめておこうと思う。 Chisel 3.3.0-RC1を使ってみる Better Asynchronous Resetってこれのこと? RequireAsyncReset トレイト

Chisel3.2.0で追加された非同期リセットについて(勝手に)勘違いしてた話

Chiselの3.3.0-RC1が公開されて調べたら、自分の把握できていない非同期リセットの振る舞いがあったので それをとめておこうと思う。 非同期リセットはモジュール間も伝搬する useAsyncReset=true useAsyncReset=true

「Chiselクイックリファレンス」書きました

長らくお休みしてましたが、やっと落ち着いたのでブログも再開。 今回はとりあえずお知らせネタを。 Chiselクイックリファレンス 中身 今後の予定

ChiselのBoringUtilsの使い方を確認

ChiselのBorringUtilsについての確認を行ったので、メモ書き。

2020年の抱負的なアレ

新年明けましたね、おめでとうございます! 新年なので、今年何をしたいかとざっくりと書いておこうと思います。いわゆる年始のポエム的なやーつです。